ASE Labs
Welcome Guest. Please register or log in now. There are 21 people online (0 Friends).
  • Home
  • Articles
  • News
  • Forum
  • Register/Login

Synopsys RedHawk Analysis Fusion Certified for Samsung Foundry's 10LPE, 8LPP, 7LPP Advanced-Node Designs

Poster: SySAdmin
Posted on June 22, 2018 at 8:21:01 AM
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd"><html xmlns="http://www.w3.org/1999/xhtml" lang="en" xml:lang="en" style="background:#f6f6f6!important">  <head>    <meta http-equiv="Content-Type" content="text/html; charset=utf-8">    <meta name="viewport" content="width=device-width">    <title>PRNJ Push Email - Headlines</title>    <style>@font-face{font-family:Montserrat;font-style:normal;font-weight:400;src:local('Montserrat Regular'),local('Montserrat-Regular'),url(https://fonts.gstatic.com/s/montserrat/v10/SKK6Nusyv8QPNMtI4j9J2wsYbbCjybiHxArTLjt7FRU.woff2) format('woff2');unicode-range:U+0102-0103,U+1EA0-1EF9,U+20AB}@font-face{font-family:Montserrat;font-style:normal;font-weight:400;src:local('Montserrat Regular'),local('Montserrat-Regular'),url(https://fonts.gstatic.com/s/montserrat/v10/gFXtEMCp1m_YzxsBpKl68gsYbbCjybiHxArTLjt7FRU.woff2) format('woff2');unicode-range:U+0100-024F,U+1E00-1EFF,U+20A0-20AB,U+20AD-20CF,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Montserrat;font-style:normal;font-weight:400;src:local('Montserrat Regular'),local('Montserrat-Regular'),url(https://fonts.gstatic.com/s/montserrat/v10/zhcz-_WihjSQC0oHJ9TCYAzyDMXhdD8sAj6OAJTFsBI.woff2) format('woff2');unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02C6,U+02DA,U+02DC,U+2000-206F,U+2074,U+20AC,U+2212,U+2215}@font-face{font-family:Montserrat;font-style:normal;font-weight:500;src:local('Montserrat Medium'),local('Montserrat-Medium'),url(https://fonts.gstatic.com/s/montserrat/v10/BYPM-GE291ZjIXBWrtCweiyNCiQPWMSUbZmR9GEZ2io.woff2) format('woff2');unicode-range:U+0102-0103,U+1EA0-1EF9,U+20AB}@font-face{font-family:Montserrat;font-style:normal;font-weight:500;src:local('Montserrat Medium'),local('Montserrat-Medium'),url(https://fonts.gstatic.com/s/montserrat/v10/BYPM-GE291ZjIXBWrtCwevfgCb1svrO3-Ym-Rpjvnho.woff2) format('woff2');unicode-range:U+0100-024F,U+1E00-1EFF,U+20A0-20AB,U+20AD-20CF,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Montserrat;font-style:normal;font-weight:500;src:local('Montserrat Medium'),local('Montserrat-Medium'),url(https://fonts.gstatic.com/s/montserrat/v10/BYPM-GE291ZjIXBWrtCweteM9fzAXBk846EtUMhet0E.woff2) format('woff2');unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02C6,U+02DA,U+02DC,U+2000-206F,U+2074,U+20AC,U+2212,U+2215}@font-face{font-family:Montserrat;font-style:normal;font-weight:600;src:local('Montserrat SemiBold'),local('Montserrat-SemiBold'),url(https://fonts.gstatic.com/s/montserrat/v10/q2OIMsAtXEkOulLQVdSl053YFo3oYz9Qj7-_6Ux-KkY.woff2) format('woff2');unicode-range:U+0102-0103,U+1EA0-1EF9,U+20AB}@font-face{font-family:Montserrat;font-style:normal;font-weight:600;src:local('Montserrat SemiBold'),local('Montserrat-SemiBold'),url(https://fonts.gstatic.com/s/montserrat/v10/q2OIMsAtXEkOulLQVdSl02tASdhiysHpWmctaYEsrdw.woff2) format('woff2');unicode-range:U+0100-024F,U+1E00-1EFF,U+20A0-20AB,U+20AD-20CF,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Montserrat;font-style:normal;font-weight:600;src:local('Montserrat SemiBold'),local('Montserrat-SemiBold'),url(https://fonts.gstatic.com/s/montserrat/v10/q2OIMsAtXEkOulLQVdSl03XcDWh-RbO457623Zi1kyw.woff2) format('woff2');unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02C6,U+02DA,U+02DC,U+2000-206F,U+2074,U+20AC,U+2212,U+2215}@font-face{font-family:Montserrat;font-style:italic;font-weight:400;src:local('Montserrat Italic'),local('Montserrat-Italic'),url(https://fonts.gstatic.com/s/montserrat/v10/-iqwlckIhsmvkx0N6rwPmvgrLsWo7Jk1KvZser0olKY.woff2) format('woff2');unicode-range:U+0102-0103,U+1EA0-1EF9,U+20AB}@font-face{font-family:Montserrat;font-style:italic;font-weight:400;src:local('Montserrat Italic'),local('Montserrat-Italic'),url(https://fonts.gstatic.com/s/montserrat/v10/-iqwlckIhsmvkx0N6rwPmojoYw3YTyktCCer_ilOlhE.woff2) format('woff2');unicode-range:U+0100-024F,U+1E00-1EFF,U+20A0-20AB,U+20AD-20CF,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Montserrat;font-style:italic;font-weight:400;src:local('Montserrat Italic'),local('Montserrat-Italic'),url(https://fonts.gstatic.com/s/montserrat/v10/-iqwlckIhsmvkx0N6rwPmhampu5_7CjHW5spxoeN3Vs.woff2) format('woff2');unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02C6,U+02DA,U+02DC,U+2000-206F,U+2074,U+20AC,U+2212,U+2215}@font-face{font-family:Montserrat;font-style:italic;font-weight:500;src:local('Montserrat Medium Italic'),local('Montserrat-MediumItalic'),url(https://fonts.gstatic.com/s/montserrat/v10/zhwB3-BAdyKDf0geWr9FtxZpeM_Zh6uJFYM6sEJ7jls.woff2) format('woff2');unicode-range:U+0102-0103,U+1EA0-1EF9,U+20AB}@font-face{font-family:Montserrat;font-style:italic;font-weight:500;src:local('Montserrat Medium Italic'),local('Montserrat-MediumItalic'),url(https://fonts.gstatic.com/s/montserrat/v10/zhwB3-BAdyKDf0geWr9Ft_zIndX4RYN5BhIaIFu8k_A.woff2) format('woff2');unicode-range:U+0100-024F,U+1E00-1EFF,U+20A0-20AB,U+20AD-20CF,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Montserrat;font-style:italic;font-weight:500;src:local('Montserrat Medium Italic'),local('Montserrat-MediumItalic'),url(https://fonts.gstatic.com/s/montserrat/v10/zhwB3-BAdyKDf0geWr9Ft9CODO6R-QMzjsZRstdx6VU.woff2) format('woff2');unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02C6,U+02DA,U+02DC,U+2000-206F,U+2074,U+20AC,U+2212,U+2215}@font-face{font-family:Montserrat;font-style:italic;font-weight:600;src:local('Montserrat SemiBold Italic'),local('Montserrat-SemiBoldItalic'),url(https://fonts.gstatic.com/s/montserrat/v10/zhwB3-BAdyKDf0geWr9Ft8gif8LsIGoxiaDHvDrXzKs.woff2) format('woff2');unicode-range:U+0102-0103,U+1EA0-1EF9,U+20AB}@font-face{font-family:Montserrat;font-style:italic;font-weight:600;src:local('Montserrat SemiBold Italic'),local('Montserrat-SemiBoldItalic'),url(https://fonts.gstatic.com/s/montserrat/v10/zhwB3-BAdyKDf0geWr9Ft34iWgrNFAiT-cwBwpMBdno.woff2) format('woff2');unicode-range:U+0100-024F,U+1E00-1EFF,U+20A0-20AB,U+20AD-20CF,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Montserrat;font-style:italic;font-weight:600;src:local('Montserrat SemiBold Italic'),local('Montserrat-SemiBoldItalic'),url(https://fonts.gstatic.com/s/montserrat/v10/zhwB3-BAdyKDf0geWr9Ft93uLUHnU24AL_1IdxwhTqs.woff2) format('woff2');unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02C6,U+02DA,U+02DC,U+2000-206F,U+2074,U+20AC,U+2212,U+2215}@media only screen{html{min-height:100%;background:#f3f3f3}}@media only screen and (max-width:630px){table.body img{width:auto;height:auto}table.body center{min-width:0!important}table.body .container{width:95%!important}table.body .columns{height:auto!important;-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box;padding-left:30px!important;padding-right:30px!important}table.body .columns .columns{padding-left:0!important;padding-right:0!important}th.small-12{display:inline-block!important;width:100%!important}.columns th.small-12{display:block!important;width:100%!important}table.menu{width:100%!important}table.menu td,table.menu th{width:auto!important;display:inline-block!important}table.menu[align=center]{width:auto!important}}</style>  </head>  <body style="-moz-box-sizing:border-box;-ms-text-size-adjust:100%;-webkit-box-sizing:border-box;-webkit-text-size-adjust:100%;Margin:0;background:#f6f6f6!important;box-sizing:border-box;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;min-width:100%;padding:0;text-align:left;width:100%!important">          <span class="preheader" style="color:#f3f3f3;display:none!important;font-size:1px;line-height:1px;max-height:0;max-width:0;mso-hide:all!important;opacity:0;overflow:hidden;visibility:hidden"></span>  <table class="body" style="Margin:0;background:#f6f6f6!important;border-collapse:collapse;border-spacing:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;height:100%;line-height:1.3;margin:0;padding:0;text-align:left;vertical-align:top;width:100%">  <tr style="padding:0;text-align:left;vertical-align:top">    <td class="center" align="center" valign="top" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;hyphens:auto;line-height:1.3;margin:0;padding:0;text-align:left;vertical-align:top;word-wrap:break-word"> <center data-parsed="" style="min-width:600px;width:100%"><!--[if mso]><style type="text/css">body, table, table.body, h1, h2, h3, h4, h5, h6, p, td, th, a { font-family: 'Montserrat', Arial, sans-serif!important;}</style><![endif]--><table align="center" class="container float-center" style="Margin:0 auto;background:#fefefe;border-collapse:collapse;border-spacing:0;float:none;margin:0 auto;padding:0;text-align:center;vertical-align:top;width:600px"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;hyphens:auto;line-height:1.3;margin:0;padding:0;text-align:left;vertical-align:top;word-wrap:break-word"> <table class="row no-background" style="background:#f6f6f6;border-collapse:collapse;border-spacing:0;display:table;padding:0;position:relative;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><th class="small-12 large-12 columns first last" style="Margin:0 auto;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:0;padding-bottom:16px;padding-left:30px;padding-right:30px;text-align:left;width:570px"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><th style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left"><table class="spacer" style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="24px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:24px;font-weight:400;hyphens:auto;line-height:24px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table> <p class="text-center view-in-browser" style="Margin:0;Margin-bottom:10px;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;margin-bottom:0;padding:0;text-align:center"><small style="color:#cacaca;font-size:80%"><a href='http://email.prnewswire.com/wf/click?upn=z3qiKnBCpunqdJkRppsiEbSmnibeutBGHu9PCpp0b6U4Q4ZotzjXnIzJXOhYxAYULB3xdBl0bgS0yWIp6bINRKSksf2-2FytJ65r8cM-2Btyb6H1ACZEkt5CAI16YBusTNCY3Zx35xSsWEmw8a89Owprqu5OTw0DfqDIazPHb1npoKY9LFMqDrfP8PFpJnw4GWKRy7okgzlxrcgas9udMmUN1XGHn6scfU7jaKM-2Bd-2BHN-2BlE-3D_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cO7oKtMbjQHHUZkhjo01CMwN8Fekh3W-2F8ZOELSkAXRPNNJEA9YSriF-2BVod09krZ4SP1Kqte0XOpNZNXVH1hOH9C5RE6-2FG9jLat5hiLdbAn3H3sLWuUCIbatrpuKXxAvW-2B5sodK8bLUO72CtjDZ6Ejyw-3D-3D'style='Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none'>View in Browser</a></small> </p> </th><th class="expander" style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0!important;text-align:left;visibility:hidden;width:0"></th></tr></table></th>  </tr></tbody></table><table class="row sub-header"style="background:#00837E;border-collapse:collapse;border-spacing:0;display:table;padding:0;position:relative;text-align:left;vertical-align:top;width:100%"><tbody><tr  style="padding:0;text-align:left;vertical-align:top"><th class="small-12 large-12 columns first last" style="Margin:0 auto;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:0;padding-bottom:16px;padding-left:30px;padding-right:30px;text-align:left;width:570px"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><th style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left"><table class="spacer" style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="16px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;hyphens:auto;line-height:16px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table>  <h4 class="text-center" style="-moz-hyphens:none;-webkit-hyphens:none;Margin:0;Margin-bottom:10px;color:#fff;font-family:Montserrat,Arial,sans-serif;font-size:18px;font-weight:400;hyphens:none;line-height:22px;margin:0;margin-bottom:10px;padding:0;text-align:center;text-transform:uppercase;word-break:none;word-wrap:normal">News From Synopsys, Inc.</h4><h5 class="text-center" style="-moz-hyphens:none;-webkit-hyphens:none;Margin:0;Margin-bottom:10px;color:#fff;font-family:Montserrat,Arial,sans-serif;font-size:14px;font-weight:500;hyphens:none;line-height:18px;margin:0;margin-bottom:0;padding:0;text-align:center;word-break:none;word-wrap:normal">Transmitted by PR Newswire for Journalists on <span class="prevent-break" style="display:inline-block">June 22, 2018 08:15 AM EST </span></h5></th><th class="expander" style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0!important;text-align:left;visibility:hidden;width:0"></th></tr></table></th></tr></tbody></table><table class="row header" style="background:#e5f2f3;border-collapse:collapse;border-spacing:0;display:table;padding:0;position:relative;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><th class="small-12 large-12 columns first last" style="Margin:0 auto;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:0;padding-bottom:0;padding-left:30px;padding-right:30px;text-align:left;width:570px"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><th style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left">                <table class="spacer" style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="24px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:24px;font-weight:400;hyphens:auto;line-height:24px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table>               <h1 style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:20px;font-weight:500;line-height:28px;margin:0;margin-bottom:0;padding:0;text-align:left;word-wrap:normal">Synopsys RedHawk Analysis Fusion Certified for Samsung Foundry's 10LPE, 8LPP, 7LPP Advanced-Node Designs</h1> <table class="spacer" style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="24px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:24px;font-weight:400;hyphens:auto;line-height:24px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table> </th><th class="expander" style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0!important;text-align:left;visibility:hidden;width:0"></th></tr></table></th>              </tr></tbody></table> <table class="row content" style="background:#fff;border-collapse:collapse;border-spacing:0;display:table;padding:0;position:relative;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><th class="small-12 large-12 columns first last" style="Margin:0 auto;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:0;padding-bottom:16px;padding-left:30px;padding-right:30px;text-align:left;width:570px"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><th style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left"> <table class="spacer" style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="24px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:24px;font-weight:400;hyphens:auto;line-height:24px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table><p class="sub-headline" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:14px;font-weight:500;line-height:20px;margin:0;margin-bottom:16px;padding:0;text-align:left"><strong>5X Productivity Gains in Power Integrity Analysis and Fixing within IC Compiler II</strong><p><span class="xn-location">MOUNTAIN VIEW, Calif.</span>, <span class="xn-chron">June 22, 2018</span> /PRNewswire/ --</p>
   <p><b>Highlights:</b></p>
   <ul type="disc">
    <li>Validated 100% correlation with ANSYS<span><sup>®</sup></span> RedHawk<span>™</span> IR/EM analysis to ensure signoff accuracy to drive early design decisions for more robust design </li>
    <li>Push-button integration of static and dynamic IR-drop analysis and fixing for easy adoption by IC Compiler™ II place-and-route engineers </li>
    <li>Smart power grid augmentation enables design robustness and QoR closure for Samsung Foundry's advanced process offerings</li>
   </ul>
   <p>Synopsys, Inc. (Nasdaq: SNPS) today announced continued momentum in the rollout of RedHawk™ Analysis Fusion technology through certification by Samsung Foundry. Synopsys, in close collaboration with Samsung Electronics Co., Ltd., a world leader in advanced semiconductor technology, validated power integrity checks with RedHawk Analysis Fusion in the areas of static IR-drop, dynamic IR-drop, and electromigration (EM) analysis. The focus was on correlation to industry-standard ANSYS RedHawk™ signoff analysis to ensure that early analysis and power integrity optimization would result in rapid power integrity convergence during final signoff.</p>
   <p>"Having our design teams use signoff technology to make decisions earlier in the design flow is key to achieving the best power, performance, and area," said <span class="xn-person">Jaehong Park</span>, senior vice president of ASIC &amp; IP Team at Samsung Electronics. "Using RedHawk Analysis Fusion technology in IC Compiler II has resulted in a 5X productivity boost for place-and-route engineers to identify and repair power grid weaknesses. We look forward to including it in our 7LPP reference design flow, along with new auto-fixing capabilities, to further improve our productivity and design QoR."</p>
   <p>Significant productivity improvements were realized through the application of Synopsys Fusion Technology™ in ANSYS RedHawk and IC Compiler II place-and-route solution enabling transparent data transfer between the place-and-route environment and power integrity analysis. The resulting productivity improvements are a result of eliminating scripting files and cumbersome flows which require external manipulation of data.</p>
   <p>"Following the official launch of RedHawk Analysis Fusion in February, designers have been able to immediately leverage the design optimization benefits of IC Compiler II with embedded industry-standard ANSYS RedHawk rail analysis," said&nbsp;Michael Jackson, corporate vice president of marketing for the Design Group at Synopsys. "Through our deep collaboration with Samsung, we realized productivity gains through the seamless fusion of RedHawk coupled with IC Compiler II automated optimization and repair."</p>
   <p>"Enabling RedHawk Analysis Fusion earlier in physical design flows helps designers achieve faster convergence during signoff and better QoR with ANSYS' industry-standard power integrity and reliability analyses," said&nbsp;John Lee, vice president and general manager at ANSYS. "We are excited about the results customers are realizing and will continue to advance our partnership with Synopsys to enable further innovations."</p>
   <p>Synopsys will feature Samsung as one of the speakers at the <a href="http://email.prnewswire.com/wf/click?upn=7VDqtAz2AW-2FeY7XnbvsasSNn4iacFhD9RLrexH4ImSCIPpgJHSJoPV-2BF5HjQ7xygfaoc8j2S0u76EFlVaNPdXnnw2knEWvVNwk0nOZrjI4FrOD6cc5uMxC6VGDvu87KG_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cvZ23aD6yN3OCO4FPv8boFePZH3opXRo8QzuLCCJkAL1Gw8yc2U3hNnT-2FYpA4nHYpMNSRoF1MXO2BiLZvUNl8c2lH-2Fd3kEWUR6amGO3cfTGJuWMHQ2ePYQ50BdhUCb5nSgjN5e5ErNiZbWmELFsbA-2Fw-3D-3D" rel="nofollow" target="_blank">Fusion Technology RTL-to-GDSII lunch</a> event on <span class="xn-chron">June 25</span>, 2018&nbsp;at the&nbsp;Design Automation Conference (DAC), to share their experience and the benefits derived from using RedHawk Analysis Fusion technology.</p>
   <p><b>About Fusion Technology</b></p>
   <p>Synopsys' breakthrough Fusion Technology transforms the RTL-to-GDSII design flow with the fusion of best-in-class optimization and industry-golden signoff tools, enabling designers to accelerate the delivery of their next-generation designs with the industry-best full-flow quality of results (QoR) and the fastest time-to-results (TTR). It redefines conventional EDA tool boundaries across synthesis, place-and-route, and signoff, sharing engines across the industry's premier digital design tools, and using a unique, fusion data model for both logical and physical representation. Fusion Technology enables a single DNA backbone across the Synopsys Design Platform that includes IC Compiler II place-and-route, Design Compiler<sup>®</sup> Graphical synthesis, PrimeTime<sup>®</sup> signoff, StarRC<sup>™</sup> extraction, IC Validator physical verification, DFTMAX<sup>™</sup> test, TetraMAX<sup>®</sup> II automatic test pattern generation (ATPG), SpyGlass<sup>®</sup> DFT ADV RTL testability analysis, and Formality<sup>®</sup> equivalence checking. It provides Design Fusion, ECO Fusion, Signoff Fusion, and Test Fusion, resulting in the most predictable RTL-to-GDSII flow with the fewest iterations, as well as unsurpassed design frequency, power, and area.</p>
   <p><b>About Synopsys</b></p>
   <p>Synopsys, Inc. (Nasdaq: SNPS) is the Silicon to Software™&nbsp;partner for innovative companies developing the electronic products and software applications we rely on every day. As the world's 15<sup>th</sup> largest software company, Synopsys has a long history of being a global leader in electronic design automation (EDA) and semiconductor IP and is also growing its leadership in software security and quality solutions. Whether you're a system-on-chip (SoC) designer creating advanced semiconductors, or a software developer writing applications that require the highest security and quality, Synopsys has the solutions needed to deliver innovative, high-quality, secure products. Learn more at <a href="http://email.prnewswire.com/wf/click?upn=7VDqtAz2AW-2FeY7XnbvsasSNn4iacFhD9RLrexH4ImSA-3D_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cRCKAsT9dT3Btescc-2BG1lxz7043o2GLzp7cdX02q2TxiBTiuWYaJjcEt68TEaqdG33SoM6JwQ2HYEOu2az7N2AGOyIaCC40-2FrXWAVad-2BfrdVoMbDVZ4nDUZatTEqZ4ChmU6PpQWWWeeHcwgaLq0wa2g-3D-3D" rel="nofollow" target="_blank">http://www.synopsys.com</a>.</p>
   <p>ANSYS, ANSYS Workbench, AUTODYN, CFX, FLUENT and any and all ANSYS, Inc. brand, product, service and feature names, logos and slogans are registered trademarks or trademarks of ANSYS, Inc. or its subsidiaries in <span class="xn-location">the United States</span> or other countries. All other brand, product, service and feature names or trademarks are the property of their respective owners.</p>
   <p><b>Editorial Contact:<br></b><span class="xn-person">James Watts</span><br>Synopsys, Inc. <br>650-584-1625 <br><a href="mailto:jwatts@synopsys.com" rel="nofollow" target="_blank">jwatts@synopsys.com</a></p>
   <p>&nbsp;</p>
   
   <p>SOURCE Synopsys, Inc.</p><p style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:14px;font-weight:400;line-height:28px;margin:0;margin-bottom:16px;padding:0;text-align:left">Web Site: <a href='http://email.prnewswire.com/wf/click?upn=TwIh0OIjG8BOSB67uKqqj-2F3mxkZ94hCh1iM0uEGJbtw-3D_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cxS3iFXPTXBTv-2F1cdnshiXYIgDqobsMHv9WrQZ1slwPyWeSdFoDRVkSE-2Fn9Q2LwjqRBelgb-2BL1aHGdTP37ogD6rr3cxH8D4foc8XG6He2dTcVyIxd4d60D5I4BOR0jhdS65GmMH-2BAEWrUpJ3-2FE2xKOQ-3D-3D' style='Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none'>http://www.synopsys.com</a></p><table class="spacer" style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="8px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:8px;font-weight:400;hyphens:auto;line-height:8px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table> <table class="button medium expand" style="Margin:0 0 16px 0;border-collapse:collapse;border-spacing:0;margin:0 0 16px 0;padding:0;text-align:left;vertical-align:top;width:100%!important"><tr style="padding:0;text-align:left;vertical-align:top"><td style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;hyphens:auto;line-height:1.3;margin:0;padding:0;text-align:left;vertical-align:top;word-wrap:break-word"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><td style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;background:#00607F;border:2px solid #00607F;border-collapse:collapse!important;color:#fefefe;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;hyphens:auto;line-height:1.3;margin:0;padding:0;text-align:left;vertical-align:top;word-wrap:break-word"><center data-parsed="" style="min-width:0;width:100%"><a href='http://email.prnewswire.com/wf/click?upn=z3qiKnBCpunqdJkRppsiEbSmnibeutBGHu9PCpp0b6U4Q4ZotzjXnIzJXOhYxAYULB3xdBl0bgS0yWIp6bINRKSksf2-2FytJ65r8cM-2Btyb6H1ACZEkt5CAI16YBusTNCY3Zx35xSsWEmw8a89Owprqu5OTw0DfqDIazPHb1npoKY9LFMqDrfP8PFpJnw4GWKRy7okgzlxrcgas9udMmUN1XGHn6scfU7jaKM-2Bd-2BHN-2BlE-3D_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cFC6X3o1I22w1tAGeGBdDEVfjiM6SRmhl435V9BAZELS61ADAtkwqBv0ZJEXB6NEe8GO9EvQwbOxYaLsMQ8VN3iQME13gfCrAsrQg2FawEMX3HyH5urRmvLAKOYR5o0fkNg6L96tDK2vO4o079tJS8g-3D-3D'align="center" class="float-center" style="Margin:0;border:0 solid #00607F;border-radius:5px;color:#fefefe;display:inline-block;font-family:Montserrat,Arial,sans-serif;font-size:14px;font-weight:500;line-height:1.3;margin:0;padding:8px 16px 8px 16px;padding-left:0;padding-right:0;text-align:center;text-decoration:none;text-transform:uppercase;width:100%">View in Browser</a></center></td></tr></table></td><td class="expander" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;hyphens:auto;line-height:1.3;margin:0;padding:0!important;text-align:left;vertical-align:top;visibility:hidden;width:0;word-wrap:break-word"></td></tr></table> </th><th class="expander" style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0!important;text-align:left;visibility:hidden;width:0"></th></tr></table></th></tr></tbody></table><table class="row sub-footer" style="background:#e5f2f3;border-collapse:collapse;border-spacing:0;display:table;padding:0;position:relative;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><th class="small-12 large-12 columns first last" style="Margin:0 auto;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:0;padding-bottom:16px;padding-left:30px;padding-right:30px;text-align:left;width:570px"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><th style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left"><table class="spacer" style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="24px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:24px;font-weight:400;hyphens:auto;line-height:24px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table> <h4 class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:500;line-height:1.3;margin:0;margin-bottom:10px;padding:0;text-align:center;word-wrap:normal">Tech</h4><h5 class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:14px;font-weight:400;line-height:1.3;margin:0;margin-bottom:10px;padding:0;text-align:center;word-wrap:normal"><strong>Username:</strong> aronschatz | <a href="http://email.prnewswire.com/wf/click?upn=z3qiKnBCpunqdJkRppsiEbSmnibeutBGHu9PCpp0b6W-2FoNI0ROearmohKp42569WC64-2FSpdy8Fo3nCX4s3fhCFq-2FttXRiT9oD9muRlENXOn7XeMspKjmOZLHDgA1mW9Y_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cbCeTStSOeQBbFg1-2Bnv0M-2BF5jHK8KFGkEdsGU0bEZPIMtQfICGeJxCZGJWnCbe-2BGuqPWsmQoFwSYXpv5OdG0fKg49gMv-2F3Bhk8QRjuWQPiYVoeh94U-2FSnZqSLbYUiQLgKENIjt1mWXAEexHHKloGyJQ-3D-3D" style="Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none">edit profile</a></h5></th><th class="expander" style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0!important;text-align:left;visibility:hidden;width:0"></th></tr></table></th></tr></tbody></table>              <table class="row footer" style="background:#f6f6f6;border-collapse:collapse;border-spacing:0;display:table;padding:0;position:relative;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top">                <th class="small-12 large-12 columns first last" style="Margin:0 auto;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:0;padding-bottom:16px;padding-left:30px;padding-right:30px;text-align:left;width:570px"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><th style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left">                  <table class="spacer" style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="24px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:24px;font-weight:400;hyphens:auto;line-height:24px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table>                  <p class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:10px;font-weight:400;line-height:16px;margin:0;margin-bottom:10px;padding:0;text-align:center">                    <strong style="font-weight:250!important">                      Copyright &copy; PR Newswire Association LLC. All Rights Reserved.                    </strong>                  </p>                  <p class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:10px;font-weight:400;line-height:16px;margin:0;margin-bottom:10px;padding:0;text-align:center">                    <strong style="font-weight:250!important">                      A Cision company.                    </strong>                  </p>                  <p class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:10px;font-weight:400;line-height:16px;margin:0;margin-bottom:10px;padding:0;text-align:center">                    350 Hudson Street, Suite 300 New York, NY 10014-4504                  </p>                  <p class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:10px;font-weight:400;line-height:16px;margin:0;margin-bottom:10px;padding:0;text-align:center">                    <a href="http://email.prnewswire.com/wf/click?upn=TwIh0OIjG8BOSB67uKqqj527Ndmd47su-2BVNkeMqZLyk-3D_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cqavRsk72X2BnaqQKinASYLdhkZVeEENcZ3nTQcGtd2-2BOskqLeKXuvWtpUMRpeBsyd1nUti-2BzEzzj14aw2FicDJtl84a1Yvay-2BBVSPYLErghfyKNYVlJuveaSsAlyEnFzqSPy-2FAVi-2BDpiPahaJxd1rA-3D-3D" style="Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none">http://www.prnewswire.com</a>                  </p>                  <p class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:10px;font-weight:400;line-height:16px;margin:0;margin-bottom:10px;padding:0;text-align:center">                    To change the settings for your profile(s), email delivery or unsubscribe go to<br>                    <a href="http://email.prnewswire.com/wf/click?upn=z3qiKnBCpunqdJkRppsiEbSmnibeutBGHu9PCpp0b6W-2FoNI0ROearmohKp42569WxPxLmCJLONMn1VSHyUOtdRl0LgkDpR33F0lynsy9e3o-3D_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cg5C-2B9qwlPn-2BwZvwm7JIyRc50zC0qxRVlOlDS83ZcV34f5nFhkpbkmIMjqdP5VLttuVXHM8ZNpYRrr-2BMMcLI6VPzLPmthSKaKnuqf8NiyI5bX2P-2BTdeK4uzFtHeEIn7Tq0enc-2BZl1KJrLV-2BMnJUtlwQ-3D-3D" style="Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none">https://prnmedia.prnewswire.com/profile/?action=editProfile</a><br>and select the profile you would like to edit or delete. You can select the industries, subjects, languages, geographical areas, companies, delivery options and delivery frequencies of your choice.                  </p>                  <p class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:10px;font-weight:400;line-height:16px;margin:0;margin-bottom:10px;padding:0;text-align:center">                    In addition to current press releases, you can also find archived news, corporate information, photos, tradeshow news and much more on the PR Newswire for Journalists website:<br><a href="http://email.prnewswire.com/wf/click?upn=z3qiKnBCpunqdJkRppsiEbSmnibeutBGHu9PCpp0b6WyN-2FuRoFWC-2BvU2X16iF3dB_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0clr5PnFLZe-2B8xthH8k8sZmg9o241zzieC0nkWqVPFJPQZLbgqPYLjVI3j8DGrGsNXe3Cw22KFvshPX8ciYiv5p1LNeBjr3WOkg8zGrAxVa0nXQSNfTsGv44X28BVp0LaA4DyUozuR5QReX-2FqPFkzTlA-3D-3D" style="Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none">https://prnmedia.prnewswire.com</a>                  <br>                  </p>                  <p class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:10px;font-weight:400;line-height:16px;margin:0;margin-bottom:10px;padding:0;text-align:center">                    To contact us, email: <a href="mailto:mediasite@prnewswire.com" style="Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none">mediasite@prnewswire.com</a>                  </p>                  <p class="text-center" style="Margin:0;Margin-bottom:10px;color:#4D4E53;font-family:Montserrat,Arial,sans-serif;font-size:10px;font-weight:400;line-height:16px;margin:0;margin-bottom:10px;padding:0;text-align:center">                    Please do not reply to this email; this is an automatically generated message.                  </p>                </th><th class="expander" style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0!important;text-align:left;visibility:hidden;width:0"></th></tr></table></th>              </tr></tbody></table>              <table class="row no-background" style="background:#f6f6f6;border-collapse:collapse;border-spacing:0;display:table;padding:0;position:relative;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top">                <th class="small-12 large-12 columns first last" style="Margin:0 auto;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:0;padding-bottom:16px;padding-left:30px;padding-right:30px;text-align:left;width:570px"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><th style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left">                  <center data-parsed="" style="min-width:510px;width:100%">                    <table align="center" class="menu float-center" style="Margin:0 auto;border-collapse:collapse;border-spacing:0;float:none;margin:0 auto;padding:0;text-align:center;vertical-align:top;width:auto!important"><tr style="padding:0;text-align:left;vertical-align:top"><td style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;hyphens:auto;line-height:1.3;margin:0;padding:0;text-align:left;vertical-align:top;word-wrap:break-word"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top">                      <th class="menu-item float-center" style="Margin:0 auto;color:#0a0a0a;float:none;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:10px;padding-right:10px;text-align:center"><a href="http://email.prnewswire.com/wf/click?upn=7VDqtAz2AW-2FeY7XnbvsasQ-2FREeMBG2bqGXdCB4XzZ7DqUZ66ajq2hyxTpb99QH1px3yBpuS-2Bnw-2Fwq19QAjbg6g-3D-3D_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cC45v7NBS-2F-2F48ku3IVTgN0Jp-2FkXqGzQZkmdOPg5C1J-2FIVbBtm39rxIZZPGlYdjVzDsYf1h-2FvqnCZJ4wXcvKFyxxCO6Dq681XSDKUMHzwYcdizwbIU6Xzy9EIxi52tHbeANX95lA2SnW3NTMvQdAulMA-3D-3D" style="Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none"><img class="social-icon" src="http://content.prnewswire.com/designimages/fa-facebook_2x.jpg" width="18" alt="" style="-ms-interpolation-mode:bicubic;border:none;clear:both;display:block;max-width:18px;outline:0;text-decoration:none;width:100%"></a></th>                      <th class="menu-item float-center" style="Margin:0 auto;color:#0a0a0a;float:none;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:10px;padding-right:10px;text-align:center"><a href="http://email.prnewswire.com/wf/click?upn=TwIh0OIjG8BOSB67uKqqj7owuiGA3E3Pj6GpdgAhBSAM-2BLR6wI3HWJ2bn-2BQWA2vhFYfN4C62mtJD6y7fWaJgZw-3D-3D_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cNFffakglGi3i-2FrCKTiUnmUxscrgubdxzOaHH1JwcV-2Bf-2BK63MJ4uQuOZPLdQR11aAKpiZjzjFGARrb1coKfPcKn2DMKaeyS7s1vzoDi7-2BoUONABwwpJseyuSu7X-2BNQOmKSReFik-2B3eT1rSn5-2B0WQqZg-3D-3D" style="Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none"><img class="social-icon" src="http://content.prnewswire.com/designimages/fa-linkedin_2x.jpg" width="18" alt="" style="-ms-interpolation-mode:bicubic;border:none;clear:both;display:block;max-width:18px;outline:0;text-decoration:none;width:100%"></a></th>                      <th class="menu-item float-center" style="Margin:0 auto;color:#0a0a0a;float:none;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:10px;padding-right:10px;text-align:center"><a href="http://email.prnewswire.com/wf/click?upn=ZrWzD7t39xxz74k8LtTHCEap5zmBeGj4aIerCi4JjSVYEkHT08LJTSMoU7OUKLCP_q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0cM0Viig-2F4T-2BHz5HjBxB8VKz90aDZk58SIYiAoxLd4Bzq6jHnb1LXWVePmaz3zcsr-2BhpGCUOz27if0h1HYWKgGa-2BkrfoRvoGVzWxk0uZCAnXC8UDqgFWn4NGfjuVWoWcr03DYrdJ8YP8orjD-2FuMn-2Blzw-3D-3D" style="Margin:0;color:#00607F;font-family:Montserrat,Arial,sans-serif;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left;text-decoration:none"><img class="social-icon" src="http://content.prnewswire.com/designimages/fa-twitter_2x.jpg" width="18" alt="" style="-ms-interpolation-mode:bicubic;border:none;clear:both;display:block;max-width:18px;outline:0;text-decoration:none;width:100%"></a></th>                    </tr></table></td></tr></table>                  </center>                </th><th class="expander" style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0!important;text-align:left;visibility:hidden;width:0"></th></tr></table></th>              </tr></tbody></table>              <table class="row no-background" style="background:#f6f6f6;border-collapse:collapse;border-spacing:0;display:table;padding:0;position:relative;text-align:left;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top">                <th class="small-12 large-12 columns first last" style="Margin:0 auto;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0 auto;padding:0;padding-bottom:16px;padding-left:30px;padding-right:30px;text-align:left;width:570px"><table style="border-collapse:collapse;border-spacing:0;padding:0;text-align:left;vertical-align:top;width:100%"><tr style="padding:0;text-align:left;vertical-align:top"><th style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0;text-align:left">                  <center data-parsed="" style="min-width:510px;width:100%">                    <img class="footer-logo float-center" src="http://content.prnewswire.com/designimages/cision_prn_logo_2x.jpg" width="150" alt="" align="center" style="-ms-interpolation-mode:bicubic;Margin:0 auto;clear:both;display:block;float:none;margin:0 auto;max-width:150px;outline:0;text-align:center;text-decoration:none;width:100%">                  </center>                </th><th class="expander" style="Margin:0;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;line-height:1.3;margin:0;padding:0!important;text-align:left;visibility:hidden;width:0"></th></tr></table></th>              </tr></tbody></table>            </td></tr></tbody></table>            <table class="spacer float-center" style="Margin:0 auto;border-collapse:collapse;border-spacing:0;float:none;margin:0 auto;padding:0;text-align:center;vertical-align:top;width:100%"><tbody><tr style="padding:0;text-align:left;vertical-align:top"><td height="16px" style="-moz-hyphens:auto;-webkit-hyphens:auto;Margin:0;border-collapse:collapse!important;color:#0a0a0a;font-family:Montserrat,Arial,sans-serif;font-size:16px;font-weight:400;hyphens:auto;line-height:16px;margin:0;mso-line-height-rule:exactly;padding:0;text-align:left;vertical-align:top;word-wrap:break-word">&#xA0;</td></tr></tbody></table>          </center>        </td>      </tr>    </table>    <!-- prevent Gmail on iOS font size manipulation -->   <div style="display:none;white-space:nowrap;font:15px courier;line-height:0"> &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; &nbsp; </div> 
<img src="http://email.prnewswire.com/wf/open?upn=q1N77mbql2CxsoEfo2fFiZ6dnlev64IGUSa1KT1DDw1MuprZmQ6aap9NY9k0Le75px2UXNxPgqxiNKh5CNqe2d1WchV0qdLjf0gWJaNZU-2Fw-2Bli-2FhpOAm8O23Cn6xU5viDPwUwu2fJyzVDY8D3NMhaUIkoyQd-2BrzoXnCKTsVkMRxlmqP-2BteNiviP0V7x2nm0ca5CsBQbhlIf0OPvrnPUwA4OFrKrK4fAEk4NO9tlvm7jqiZJ9EeRC2stN2EKrPOqMAiEku9wqwNV0ilwDh9bGUPivkjQHq4flvodj5NaUPMo9DYZnM4khCj3nOaS3Rz4hjuao1R1N5NaMy-2Fvy-2FZFFag-3D-3D" alt="" width="1" height="1" border="0" style="height:1px !important;width:1px !important;border-width:0 !important;margin-top:0 !important;margin-bottom:0 !important;margin-right:0 !important;margin-left:0 !important;padding-top:0 !important;padding-bottom:0 !important;padding-right:0 !important;padding-left:0 !important;"
 
Print This Entry
Tags PR Press Release
Related Articles
  • Huntkey Has Launched Its New Power Strips with USB Chargers on Amazon US
  • Inspur Releases TensorFlow-Supported FPGA Compute Acceleration Engine TF2
  • Hot Pepper Introduces Spicy New Smartphones in US Markets
  • Sharp Introduces New Desktop Printers For The Advanced Office
  • DJI Introduces Mavic 2 Pro And Mavic 2 Zoom: A New Era For Camera Drones
Login
Welcome Guest. Please register or log in now.
Forgot your password?
Navigation
  • Home
  • Articles
  • News
  • Register/Login
  • Shopping
  • ASE Forums
  • Anime Threads
  • HardwareLogic
  • ASE Adnet
Latest News
  • Kingston HyperX Cloud 2 Pro Gaming Headset Unboxing
  • Synology DS415+ Unboxing
  • D-Link DCS-5020L Wireless IP Pan/Tilt IP Camera
  • Actiontec WiFi Powerline Network Extender Kit Unboxing
  • Durovis Dive Unboxing
  • Bass Egg Verb Unboxing
  • Welcome to the new server
  • Gmail Gets Optional Preview Pane
  • HBO Go on Consoles
  • HP Touchpad Update
Latest Articles
  • D-Link Exo AC2600 Smart Mesh Wi-Fi Router DIR-2660-US
  • HyperX Double Shot PBT Keys
  • Avantree ANC032 Wireless Active Noise Cancelling Headphones
  • ScharkSpark Beginner Drones
  • HyperX Alloy FPS RGB Mechanical Gaming Keyboard
  • D-Link DCS-8300LH Full HD 2-Way Audio Camera
  • Contour Unimouse Wireless Ergonomic Mouse
  • HyperX Cloud Alpha Pro Gaming Headset
  • Linksys Wemo Smart Home Suite
  • Fully Jarvis Adjustable Standing Desk
Latest Topics
  • Hello
  • Welcome to the new server at ASE Labs
  • Evercool Royal NP-901 Notebook Cooler at ASE Labs
  • HyperX Double Shot PBT Keys at ASE Labs
  • Avantree ANC032 Wireless Active Noise Cancelling Headphones at ASE Labs
  • ScharkSpark Beginner Drones at ASE Labs
  • HyperX Alloy FPS RGB Mechanical Gaming Keyboard at ASE Labs
  • D-Link DCS-8300LH Full HD 2-Way Audio Camera at ASE Labs
  • Kingston SDX10V/128GB SDXC Memory at ASE Labs
  • What are you listening to now?
  • Antec Six Hundred v2 Gaming Case at HardwareLogic
  • Sans Digital TR5UTP 5-Bay RAID Tower at HardwareLogic
  • Crucial Ballistix Smart Tracer 6GB PC3-12800 BL3KIT25664ST1608OB at HardwareLogic
  • Cooler Master Storm Enforcer Mid-Tower Gaming Case at HardwareLogic
  • Arctic M571-L Gaming Laser Mouse at ASE Labs
  • Contour Unimouse Wireless Ergonomic Mouse at ASE Labs
Advertisement
Advertisement
Press Release
  • Huntkey Has Launched Its New Power Strips with USB Chargers on Amazon US
  • Inspur Releases TensorFlow-Supported FPGA Compute Acceleration Engine TF2
  • Hot Pepper Introduces Spicy New Smartphones in US Markets
  • Sharp Introduces New Desktop Printers For The Advanced Office
  • DJI Introduces Mavic 2 Pro And Mavic 2 Zoom: A New Era For Camera Drones
  • DJI Introduces Mavic 2 Pro And Mavic 2 Zoom: A New Era For Camera Drones
  • Fujifilm launches "instax SQUARE SQ6 Taylor Swift Edition", designed by instax global partner Taylor Swift
  • Huawei nova 3 With Best-in-class AI Capabilities Goes on Sale Today
  • Rand McNally Introduces Its Most Advanced Dashboard Camera
  • =?UTF-8?Q?My_Size_to_Showcase_Its_MySizeId=E2=84=A2_Mobil?= =?UTF-8?Q?e_Measurement_Technology_at_CurvyCon_NYC?=
Home - ASE Publishing - About Us
© 2010 Aron Schatz (ASE Publishing) [Queries: 19 (8 Cached)] [Rows: 315 Fetched: 58] [Page Generation time: 0.45077991485596]